設萬維讀者為首頁 廣告服務 技術服務 聯繫我們 關於萬維
簡體 繁體 手機版
分類廣告
版主:白夫長
萬維讀者網 > 軍事天地 > 帖子
EUV極紫外光刻
送交者: 三把刀 2017年02月02日19:56:22 於 [軍事天地] 發送悄悄話

編者按:當價格不變時,集成電路上可容納的元器件的數目,約每隔 18-24個月便會增加一倍,性能也將提升一倍。戈登·摩爾所提出的摩爾定律,一直環繞着偉大而悲慘的光環:它似乎總在觸碰半導體工藝的極限,卻又在即將衰亡時因黑科技的拯救而重獲新生。 

如果光刻技術要數現代集成電路上的第二大難題,那麼絕對沒有別的因素敢稱第一。目前,193nm 液浸式光刻系統是最為成熟的技術,它在精確度及成本上達到了一個近乎完美的平衡,短時間內很難被取代。不過,一種名為極紫外光刻(EUV 光刻)的技術半路殺出,成為近年來英特爾、台積電等芯片公司追捧的新寵。有人認為 EUV 光刻能夠拯救摩爾定律,但事實是否真的如此? 

如今,ASML的EUV機器里的鏡面由40對交疊的硅片和其上覆蓋的鉬層組成,每一層只有幾納米厚。 Zeiss 公司是這些鏡面的製造商,他們將這些非球面表面加工地非常精確。但是 van Dijsseldonk 說:“如果一切都做的非常完美,那麼可以得到一個反射率 70%的鏡面。這個反射率代表着,光源通過該系統中的每一對鏡面時都會減半。光刻機在把 EUV 光線從光源照射到掩模板上的時候,很容易就會用到好幾個鏡面;而掩模板本身也是一個鏡面,經過它光線才會照到晶圓上。所以,一個EUV 光束在經過長途跋涉後,只有不到2%的光線能保留下來。 到達晶圓的光線越少,晶圓在光刻機中停留曝光的時間就要越長。然而在工廠里,時間就是金錢。為了使EUV 能實現商業化投產,這個技術需要與已有的光刻技術比拼成本高低。所以,為了彌補鏡面反射過程中的損耗,射線光源必須非常強。這一點在實踐中也被證明,對於工程師來說是一個極大的挑戰。 

在研究早期,EUV 研究者們使用了他們能想到的所有東西來生成X射線,包括激光器和粒子加速器。但是其中最有效且經濟的得到足夠亮度的方法,需要用到等離子體。使用正確的材料和足夠強的激光器或電流,就可以將電子從其附着的原子中分離出來。由此產生的等離子體在從超熱滴狀態冷卻到之前的穩定狀態過程中,就會產生 EUV 輻射。 等離子光源在反覆使用過程中,需在中心焦點達到 250 瓦特的功率,這個中心焦點也是 EUV 光進出光刻機的位置。這種強度的光可以使機器每小時處理約 125 個晶片,其批量處理的效率僅有現今使用的高級 193nm 技術的一半。 

但是多年以來,這種技術的進展一直很緩慢,光照亮度的提升始終未能達到人們的預期。直到 2011 年,也就是 ASML 公司將它的第一台光刻機樣機交付給兩個客戶後的第五年,總部在聖迭戈的世界領先的光源製造商 Cymer 才成功地製作出一個能持續提供 11 瓦特功率的光源。ASML 的EUV 產品市場負責人 Hans Meiling 說:“我們可能低估了它的難度。”最後為了加速發展,ASML 公司在 2013 年用 31 億歐元收購了 Cymer。 為了製作 EUV 光,Cymer 使用了一種叫做“激光等離子體”的方法,這種方法是在一個真空腔體中,用源自金屬切割技術的放大器,產生強大的二氧化碳激光,通過腔體,照射一束每秒被發射出5萬滴的超純錫液滴。當激光脈衝照射到錫液滴時,液滴會被加熱成等離子體並產生EUV射線。接着,一個反射鏡收集器將該過程產生的光線反射到光刻機中。因為這種方法在產生 EUV 光時也產生了錫碎片,所以還要持續為反射鏡收集器噴射氫氣,以保證它不會被一層錫所覆蓋。 於2013年加入 ASML 公司 EUV 光源項目的成員 Alberto Pirati 承認說:“我第一次聽到這種做法時,覺得他們一定是瘋了。”但是,一點一點地,這個團隊似乎慢慢實現了這個看起來不可能的想法。其中一個最大的突破來自於 Cymer 在被收購之前實踐的一項技術。他們發現,如果在主激光器開啟前先發射一次預脈衝激光,就能把錫液滴鋪平,為主激光器創造更大的接觸面積,從而生成更多的等離子體。這個改變使得激光到 EUV 的轉化率從剛夠 1%提高至 5%。

今年上半年,因為預脈衝的方法和其他一些改進,ASML 公司報道說,他們在實驗室中光源已經達到了 200瓦特的功率。另一個光源製造商 Gigaphoton 也聲稱有巨大進步。我們期待已久的250瓦特功率的目標看起來並不遙遠了。但是 EUV 技術是否能夠投入生產的真正考驗,出現在 ASML 芯片廠客戶的實驗室、加工廠和報表上。 沒有人質疑 EUV 機器能實現的高精度。如果你參加一個半導體行業大會,你很可能會看到一些展示,將 EUV 技術製作的清晰微小線路,和目前傳統技術做出的模糊線路進行比較。 但是現在的問題是,EUV 在主流商業芯片的批量生產中會扮演怎樣的角色?它什麼時候才會真正登場?當然,採用 EUV 技術的成本高得嚇人。發言人 Niclas Mika 表示,最新 EUV 機器的價格超過 1 億歐元,是現有常規 193nm 光刻機價格的二倍多,並且機器的高度與寬度相當於一輛紐約的巴士,需要用多台 747飛機運輸。客戶評估書中標明,使用該機器進行大批量生產時會消耗 1.5 兆瓦的電力,遠超現有的 193nm機器。 

液滴的進化:為了生成 EUV 光,熔融的錫液滴被激光脈衝擊中後,變得扁平;之後被激光二次擊中,變成可以輻射 EUV 射線的等離子體。 但是,一個簡單的規格比較並不能顯示出全部的生產成本。現今高級的 193nm 光刻技術可以生產的芯片,工藝可以達到波長的一小點。 這個技術的形成離不開兩個主要的突破。第一個突破是浸液式光刻,即是將水放在晶圓和鏡頭之間。

第二個突破是多重成像,即是將一層成像的過程分解成兩步或多步。比如,要製作一組距離非常靠近的洞,一個晶片需要在光刻機中處理一次,加工成品的一半,接着再重複一次,少許錯位後,加工另一半。因為晶圓的定位可以做到很高精度,所以工程師們可以完成比之前一步成像下間距更小的成像。從原理上說,成像步數越多,成像越精密。但是每增加一步,就會使芯片製造成本變得更加高昂,程序更加複雜。 GlobalFoundries 在製作 14nm 級別芯片的時候,使用的是 Fab8 裡面最先進的三重蝕刻法。也就是說,針對某些關鍵的芯片內層,芯片要經受光刻機和其他設備的兩次額外蝕刻。據該公司在阿爾伯尼紐約州立大學理工學院,負責評估多重光刻技術的 George Gomba 以及其他 IBM 的同事透露,他們正計劃在下一代 7nm 產品上,使用四重光刻法。 

截至目前,GlobalFoundries 計劃在 2018 年推出 7nm 芯片的時候暫不使用EUV,但是在該項技術成熟的時候,依舊保留其應用到生產的可能性。對於 Gomba 和他的同事而言,EUV技術是否使用的關鍵點,就是它能否和多重光刻在成本上打個平手。這個問題很難回答,因為實際的成本取決於太多因素,比如EUV光源亮度可以到達何種程度、EUV 光刻系統正常運行時間(機器實際可工作時間比)有多少。 錫動力:為了生成EUV,ASML 的光源需要用脈衝激光,照射快速射出的錫液滴流。這個過程始於加工車間的地下,在裡面可以生成兩組激光脈衝。每個錫液滴先被一束預脈衝照射,變平;然後再由主脈衝照射,被加熱後生成等離子體。裝置里有一面收集反射鏡,負責將生成的射線導入到光刻機中。 

巨頭入局 在 EUV上面燒錢的不只是 GlobalFoundries 和 IBM 兩家。2012 年,英特爾、三星和台積電(TSMC)為 ASML 的下一代光蝕刻技術募集了 13.8 億歐元的研發經費,同一項合約中,ASML也用無投票權的股份換取了 38.5 億歐元。ASML 的 Meiling 估計,公司里大約有 4000 名專注 EUV 項目的員工,這還不算其他半導體公司和自身有 EUV 項目的研究機構里的人數。 對 EUV 技術下了如此大手筆,不僅是因為技術本身的難度,更是因為半導體廠商愈發堅信,在不久的將來,他們很可能會因為沒有 EUV 技術而止步不前。

如果你去問台積電負責 EUV 光蝕刻開發的 Anthony Yen,EUV 對於摩爾定律的重要性時,他一定會非常肯定地強調:“肯定重要。百分之一百重要。非常非常重要。”台積電希望自家的 5nm 工藝芯片產線能在五年後使用上EUV技術。 

至於現在,EUV 還存在一些工程上的挑戰。Yen 面對的首要問題就是保護掩模板(像模板一樣的平板,上面有待印刷的圖案)。如同EUV光刻機裡面的其他元件一樣,掩模版也是帶有反射性的。於是,棘手的反射係數又成了問題。 在 193nm 的浸蝕機中,掩模版由一層被稱為護膜的薄膜保護着。這層薄膜距離掩模版有一點懸空的距離,像保鮮膜一樣緊繃在上方。在當前的工藝尺寸下,一個肉眼看不見的小灰塵,仍然可以影響幾百個晶體管的曝光。多虧了光學技術的發展,如果有一粒灰塵落到了保護膜上,保護膜就會因為無法聚焦而不能在晶圓上形成圖案。 不過 193nm 的護膜並不是為 13.5nm 的光所設計的;因為在這種波段下透明度不夠高,EUV 很快就會損壞護膜。ASML 原計劃製造不帶護膜的光刻機,但是芯片廠商還是擔憂可能帶來的問題。“如果一粒灰塵落到了掩模版上”,Yen 解釋道,“晶圓上面的每一塊晶片都會被損壞,最終良品率可能為零。”日積月累後,取決於生產的晶圓數量,可能損失掉價值幾萬甚至幾十萬美元的芯片。 

於是,ASML 便開始了持續的研究,意圖製造出能夠抵抗 EUV 破壞的護膜。這種護膜的透光度必須儘可能地高,這樣光源在到達掩模版的時候就可以幾乎不發生損耗。這種情況下難度幾乎翻了一倍:因為 EUV 的掩模版反射係數比透射係數要高,所以光必須穿過護膜兩次:一次進入,一次反射出。 在潛在客戶接納 EUV 技術之前,這項技術還有一些其他的挑戰需要解決。其中一個就是製作無錯的 EUV掩模版,並用高效的方式驗證這塊掩模版是完美無暇的。

另一個問題是光刻膠,它是一種光敏材料,被覆在晶圓表面,接收掩模版的圖案。 現在使用的光刻膠,即化學放大光刻膠,由分子鏈聚合而成,可以增強入射光子的效果。但是 EUV 光刻膠創業公司 Inpria 的 CEO Andrew Grenville 解釋道,這些材料對 EUV 的吸收效果並不好。此外,由於入射光引起的放大反應在材料內部散射,光刻膠形成的圖像會有輕微模糊。為了能實現比當前技術更精細的線路圖案,Grenville說,“你必須有尺寸小得多而且更可靠的製造模塊”。

Inspria 正在努力研發一種新型光刻膠,它由更小的錫氧化物組成,吸收 EUV 的效果是之前的五倍,而且線路圖案也不會受放大影響。 這些技術還來得及讓摩爾定律永久或者短期地存續下去嗎?光蝕刻專家 Chris Mack 對於這些技術在 2018 年能被半導體廠商採用,深表懷疑。新一代芯片的生產計劃往往提前好幾年就會開始。他評論到,在短短幾年內就承諾能用上 EUV,“風險太高”。 Mack 是著名的 EUV 技術批判者,還曾用他的蓮花跑車同這項技術打賭。不過他也承認 EUV 技術還有“一丁點希望”。

半導體廠商在步履艱難地減小線路尺寸的同時維持成本;每一代芯片成功流片的時間拉的更長;芯片工藝尺寸的減小也不像以往那樣激進。這些困難可能會給 EUV 一個機會,他說:“摩爾定律的變緩可能真的會給 EUV 足夠的時間迎頭趕上。” 足夠的時間,也就是在摩爾定律被成本折磨到止步之前。Mack 說,EUV 當然可能會走到它被廣泛接受而且能降低生產成本的那一天。但他也說,到了那個時候,下一代的先進芯片的製造成本可能過高,而所帶來的性能優勢不夠明顯,以致於半導體廠商不會選擇這種技術。Mack 還表示,現在已經出現了上一代芯片製造工藝存活時間更久的情況,“我覺得我們可能看到市場的分化,許多公司在從事不同的業務。” 

如同過去一樣,摩爾定律的命運不僅取決於芯片工藝的尺寸,也取決於物理學家和工程師,對生產出的晶體管和電路可以改善到何種程度。即使從一束快速激射出的錫等離子體上發出的亮光,也不能明示,世間最偉大的技術蟬聯取勝會到何時終止。但它可能會為前路照亮一點光。

0%(0)
0%(0)
  為技術而技術的極紫外是不是誤入歧途  /無內容 - 香椿樹1 02/03/17 (608)
標 題 (必選項):
內 容 (選填項):
實用資訊
回國機票$360起 | 商務艙省$200 | 全球最佳航空公司出爐:海航獲五星
海外華人福利!在線看陳建斌《三叉戟》熱血歸回 豪情築夢 高清免費看 無地區限制
一周點擊熱帖 更多>>
一周回復熱帖
歷史上的今天:回復熱帖
2016: 槍挑夠手的,看準機會往賊王心窩猛一插
2016: 大量嫦娥探月未公開影像曝光
2015: 直18新機測試攀越珠穆朗瑪峰
2015: 淺析“美國預研六代機”
2014: 羅援少將證實蘇30大年初一攔截日本戰機
2014: 解放軍編隊巡邏曾母暗沙謊言再次被揭穿
2013: 中國式幽默還是自嘲?“中國海軍突破第
2013: 轉一篇,大夥周末輕鬆一下。。。
2012: 中國崛起的速度快得驚人:對印度意味着
2012: 朝戰回憶錄:令人觸目驚心的戰場照片(